Articles with public access mandates - Norbert Wehn - European CommissionLearn more
Not available based on mandate: 13
When channel coding hits the implementation wall
C Kestel, M Herrmann
2018 IEEE 10th International Symposium on Turbo Codes & Iterative …, 2018
A 506Gbit/s polar successive cancellation list decoder with CRC
C Kestel, L Johannsen, O Griebel, J Jimenez, T Vogt, T Lehnigk-Emden, ...
2020 IEEE 31st Annual International Symposium on Personal, Indoor and Mobile …, 2020
A ferroelectric fet based in-memory architecture for multi-precision neural networks
T Soliman, R Olivo, T Kirchner, M Lederer, T Kämpfe, A Guntoro, N Wehn
2020 IEEE 33rd International System-on-Chip Conference (SOCC), 96-101, 2020
A novel DRAM-based process-in-memory architecture and its implementation for CNNs
C Sudarshan, T Soliman, C De la Parra, C Weis, L Ecco, M Jung, N Wehn, ...
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
A 336 gbit/s full-parallel window decoder for spatially coupled ldpc codes
M Herrmann, N Wehn, M Thalmaier, M Fehrenz, T Lehnigk-Emden, ...
2021 Joint European Conference on Networks and Communications & 6G Summit …, 2021
The role of memories in transprecision computing
C Weis, M Jung, ÉF Zulian, C Sudarshan, DM Mathew, N Wehn
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
Forward-error-correction for beyond-5G ultra-high throughput communications
N Wehn, O Sahin, M Herrmann
2021 11th International Symposium on Topics in Coding (ISTC), 1-5, 2021
A new state model for DRAMs using Petri Nets
M Jung, K Kraft, N Wehn
2017 International Conference on Embedded Computer Systems: Architectures …, 2017
In-Memory Computing exceeding 10000 TOPS/W using Ferroelectric Field Effect Transistors for EdgeAI Applications
N Laleni, T Soliman, F Mueller, S Mojumder, T Kirchner, M Lederer, ...
MikroSystemTechnik Congress 2021; Congress, 1-4, 2021
Energy efficient FEC decoders
M Herrmann, C Kestel, N Wehn
2021 11th International Symposium on Topics in Coding (ISTC), 1-5, 2021
Adaptable approximation based on bit decomposition for deep neural network accelerators
T Soliman, C De La Parra, A Guntoro, N Wehn
2021 IEEE 3rd International Conference on Artificial Intelligence Circuits …, 2021
FeFET versus DRAM based PIM Architectures: A Comparative Study
C Sudarshan, T Soliman, T Kämpfe, C Weis, N Wehn
2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration …, 2022
Efficient Hardware Approximation for Bit-Decomposition Based Deep Neural Network Accelerators
T Soliman, A Eldebiky, C De La Parra, A Guntoro, N Wehn
2022 IEEE 35th International System-on-Chip Conference (SOCC), 1-6, 2022
Available based on mandate: 27
Ultra-low power flexible precision FeFET based analog in-memory computing
T Soliman, F Müller, T Kirchner, T Hoffmann, H Ganem, E Karimov, T Ali, ...
2020 IEEE International Electron Devices Meeting (IEDM), 29.2. 1-29.2. 4, 2020
The transprecision computing paradigm: Concept, design, and applications
ACI Malossi, M Schaffner, A Molnos, L Gammaitoni, G Tagliavini, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
System simulation with gem5 and SystemC: The keystone for full interoperability
C Menard, J Castrillon, M Jung, N Wehn
2017 International Conference on Embedded Computer Systems: Architectures …, 2017
25 years of turbo codes: From Mb/s to beyond 100 Gb/s
S Weithoffer, CA Nour, N Wehn, C Douillard, C Berrou
2018 IEEE 10th International Symposium on Turbo Codes & Iterative …, 2018
Felix: A ferroelectric fet based low power mixed-signal in-memory architecture for dnn acceleration
T Soliman, N Laleni, T Kirchner, F Müller, A Shrivastava, T Kämpfe, ...
ACM Transactions on Embedded Computing Systems 21 (6), 1-25, 2022
Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving
M Jung, SA McKee, C Sudarshan, C Dropmann, C Weis, N Wehn
Proceedings of the International Symposium on Memory Systems, 377-386, 2018
A lean, low power, low latency DRAM memory controller for transprecision computing
C Sudarshan, J Lappas, C Weis, DM Mathew, M Jung, N Wehn
Embedded Computer Systems: Architectures, Modeling, and Simulation: 19th …, 2019
Publication and funding information is determined automatically by a computer program