Authors
Rakesh Kumar, Dean M Tullsen, Parthasarathy Ranganathan, Norman P Jouppi, Keith I Farkas
Publication date
2004/3/2
Journal
ACM SIGARCH Computer Architecture News
Volume
32
Issue
2
Pages
64
Publisher
ACM
Description
A single-ISA heterogeneous multi-core architecture is achip multiprocessor composed of cores of varying size, performance,and complexity. This paper demonstrates that thisarchitecture can provide significantly higher performance inthe same area than a conventional chip multiprocessor. It doesso by matching the various jobs of a diverse workload to thevarious cores. This type of architecture covers a spectrum ofworkloads particularly well, providing high single-thread performancewhen thread parallelism is low, and high throughputwhen thread parallelism is high.This paper examines two such architectures in detail,demonstrating dynamic core assignment policies that providesignificant performance gains over naive assignment, andeven outperform the best static assignment. It examines policiesfor heterogeneous architectures both with and withoutmultithreading cores. One heterogeneous architecture we …
Total citations
200320042005200620072008200920102011201220132014201520162017201820192020202120222023202438172031446161817475745564373732252021105
Scholar articles