Authors
Wenyao Xu, Jia Wang, Yu Hu, Ju-Yueh Lee, Fang Gong, Lei He, Majid Sarrafzadeh
Publication date
2011/5/19
Journal
IEEE Transactions on Circuits and Systems I: Regular Papers
Volume
58
Issue
6
Pages
1372-1381
Publisher
IEEE
Description
For anti-fuse or flash-memory-based field-programmable gate arrays (FPGAs), single-event transient (SET)-induced faults are significantly more pronounced than single-event upsets (SEUs). While most existing work studies SEU, this paper proposes a retiming algorithm for mitigating variational SETs (i.e., SETs with different durations and strengths). Considering the reshaping effect of an SET pulse caused by broadening and attenuation during its propagation, SET-aware retiming (SaR) redistributes combinational paths via post layout retiming and minimizes the possibility that an SET pulse is latched. The SaR problem is formulated as an integer linear programming (ILP) problem and solved efficiently by a progressive ILP approach. In contrast to existing SET-mitigation techniques, the proposed SaR does not change the FPGA architecture or the layout of an FPGA application. Instead, it reconfigures the …
Total citations
201020112012201320142015201620172018201911231221
Scholar articles
W Xu, J Wang, Y Hu, JY Lee, F Gong, L He… - IEEE Transactions on Circuits and Systems I: Regular …, 2011