Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Effects of age, sex, and treatment on weight-loss dynamics in overweight people
MA Rojo-Tirado, PJ Benito, D Atienza, E Rincon, FJ Calderon
Applied Physiology, Nutrition, and Metabolism 38 (9), 967-976, 2013
182013
System-level thermal-aware design of 3D multiprocessors with inter-tier liquid cooling
A Sridhar, MM Sabry, D Atienza
2011 17th International Workshop on Thermal Investigations of ICs and …, 2011
182011
Temperature sensor placement in thermal management systems for MPSoCs
F Zanini, D Atienza, CN Jones, G De Micheli
Proceedings of 2010 IEEE International Symposium on Circuits and Systems …, 2010
182010
Inducing thermal-awareness in multicore systems using networks-on-chip
D Atienza, E Martinez
2009 IEEE Computer Society Annual Symposium on VLSI, 187-192, 2009
182009
Multiagent reinforcement learning for hyperparameter optimization of convolutional neural networks
A Iranfar, M Zapater, D Atienza
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
172021
Dynamic thermal management with proactive fan speed control through reinforcement learning
A Iranfar, F Terraneo, G Csordas, M Zapater, W Fornaciari, D Atienza
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 418-423, 2020
172020
Impact of memory voltage scaling on accuracy and resilience of deep learning based edge devices
BW Denkinger, F Ponzina, SS Basu, A Bonetti, S Balási, M Ruggiero, ...
IEEE Design & Test 37 (2), 84-92, 2019
172019
REWARD: Design, Optimization, and Evaluation of a Real-Time Relative-Energy Wearable R-Peak Detection Algorithm *
L Orlandic, E De Giovanni, A Arza, S Yazdani, JM Vesin, D Atienza
2019 41st Annual International Conference of the IEEE Engineering in …, 2019
172019
Design of a two-phase gravity-driven micro-scale thermosyphon cooling system for high-performance computing data centers
A Seuret, A Iranfar, M Zapater, J Thome, D Atienza
2018 17th IEEE Intersociety Conference on Thermal and Thermomechanical …, 2018
172018
Implementation of an automated ECG-based diagnosis for a wireless body sensor platform
FJ Rincón, L Gutiérrez, M Jiménez, V Diaz, N Khaled, D Atienza, ...
Proceedings of the International Conference on Biomedical Electronics and …, 2009
172009
Multi-centroid hyperdimensional computing approach for epileptic seizure detection
U Pale, T Teijeiro, D Atienza
Frontiers in Neurology 13, 816294, 2022
162022
Mbiotracker: Multimodal self-aware bio-monitoring wearable system for online workload detection
F Dell’Agnola, U Pale, R Marino, A Arza, D Atienza
IEEE Transactions on Biomedical Circuits and Systems 15 (5), 994-1007, 2021
162021
EEG correlates of difficulty levels in dynamical transitions of simulated flying and mapping tasks
PK Jao, R Chavarriaga, F Dell’Agnola, A Arza, D Atienza, JR Millán
IEEE Transactions on Human-Machine Systems 51 (2), 99-108, 2020
162020
Write termination circuits for RRAM: A holistic approach from technology to application considerations
A Levisse, M Bocquet, M Rios, M Alayan, M Moreau, E Nowak, G Molas, ...
Ieee Access 8, 109297-109308, 2020
162020
i-DPs CGRA: an interleaved-datapaths reconfigurable accelerator for embedded bio-signal processing
L Duch, S Basu, M Peón-Quirós, G Ansaloni, L Pozzi, D Atienza
IEEE Embedded Systems Letters 11 (2), 50-53, 2018
162018
Heterogeneous and inexact: Maximizing power efficiency of edge computing sensors for health monitoring applications
S Basu, L Duch, M Peón-Quirós, D Atienza, G Ansaloni, L Pozzi
2018 IEEE International symposium on circuits and systems (ISCAS), 1-5, 2018
162018
Physiological characterization of need for assistance in rescue missions with drones
F Dell'Agnola, L Cammoun, D Atienza
2018 IEEE International Conference on Consumer Electronics (ICCE), 1-6, 2018
162018
A quality-scalable and energy-efficient approach for spectral analysis of heart rate variability
G Karakonstantis, A Sankaranarayanan, MM Sabry, D Atienza, A Burg
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
162014
A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling
F Zanini, D Atienza, G De Micheli
Integration 46 (1), 33-43, 2013
162013
Design exploration of energy-performance trade-offs for wireless sensor networks
I Beretta, F Rincon, N Khaled, PR Grassi, V Rana, D Atienza
Proceedings of the 49th Annual Design Automation Conference, 1043-1048, 2012
162012
The system can't perform the operation now. Try again later.
Articles 141–160