Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Machine-learning based monitoring of cognitive workload in rescue missions with drones
F Dell’Agnola, PK Jao, A Arza, R Chavarriaga, JR Millán, D Floreano, ...
IEEE Journal of Biomedical and Health Informatics 26 (9), 4751-4762, 2022
152022
Synthetic epileptic brain activities using generative adversarial networks
D Pascual, A Aminifar, D Atienza, P Ryvlin, R Wattenhofer
arXiv preprint arXiv:1907.10518, 2019
152019
A self-learning methodology for epileptic seizure detection with minimally-supervised edge labeling
D Pascual, A Aminifar, D Atienza
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 764-769, 2019
152019
Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or not?
A Pahlevan, YM Qureshi, M Zapater, A Bartolini, D Rossi, L Benini, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 147-152, 2018
152018
A patient-specific methodology for prediction of paroxysmal atrial fibrillation onset
E De Giovanni, A Aminifar, A Luca, S Yazdani, JM Vesin, D Atienza
2017 Computing in Cardiology (CinC), 1-4, 2017
152017
Ultra-low power estimation of heart rate under physical activity using a wearable photoplethysmographic system
E De Giovanni, D Atienza, S Murali, F Rincon
2016 Euromicro Conference on Digital System Design (DSD), 553-560, 2016
152016
Resolving the memory bottleneck for single supply near-threshold computing
T Gemmeke, MM Sabry, J Stuijt, P Raghavan, F Catthoor, D Atienza
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
152014
Full system simulation of many-core heterogeneous SoCs using GPU and QEMU semihosting
S Raghav, A Marongiu, C Pinto, D Atienza, M Ruggiero, L Benini
Proceedings of the 5th Annual Workshop on General Purpose Processing with …, 2012
152012
Thermal-aware system-level modeling and management for multi-processor systems-on-chip
F Zanini, D Atienza, L Benini, G De Micheli
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 2481-2484, 2011
152011
Variability-aware design of multilevel logic decoders for nanoscale crossbar memories
MHB Jamaa, KE Moselund, D Atienza, D Bouvet, AM Ionescu, Y Leblebici, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
152008
Energy-aware compilation and hardware design for VLIW embedded systems
JL Ayala, M López-Vallejo, D Atienza, P Raghavan, F Catthoor, D Verkest
International Journal of Embedded Systems 3 (1-2), 73-82, 2007
152007
Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance
S Mamagkakis, C Baloukas, D Atienza, F Catthoor, D Soudris, ...
Computer communications 29 (13-14), 2612-2620, 2006
15*2006
X-heep: An open-source, configurable and extendible risc-v microcontroller for the exploration of ultra-low-power edge accelerators
S Machetti, PD Schiavone, TC Müller, M Peón-Quirós, D Atienza
arXiv preprint arXiv:2401.05548, 2024
142024
The RECIPE approach to challenges in deeply heterogeneous high performance systems
G Agosta, W Fornaciari, D Atienza, R Canal, A Cilardo, JF Cardo, CH Luz, ...
Microprocessors and Microsystems 77, 103185, 2020
142020
Simulation of high-performance memory allocators
JL Risco-Martín, JM Colmenar, D Atienza, JI Hidalgo
Microprocessors and Microsystems 35 (8), 755-765, 2011
142011
Scalable instruction set simulator for thousand-core architectures running on gpgpus
S Raghav, M Ruggiero, D Atienza, C Pinto, A Marongiu, L Benini
2010 International Conference on High Performance Computing & Simulation …, 2010
142010
Multi-lead wavelet-based ECG delineation on a wearable embedded sensor platform
F Rincon, N Boichat, V Barbero, N Khaled, D Atienza
2009 36th Annual Computers in Cardiology Conference (CinC), 289-292, 2009
142009
Exploration of hyperdimensional computing strategies for enhanced learning on epileptic seizure detection
U Pale, T Teijeiro, D Atienza
2022 44th Annual International Conference of the IEEE Engineering in …, 2022
132022
CAFS: cost-aware features selection method for multimodal stress monitoring on wearable devices
N Momeni, AA Valdés, J Rodrigues, C Sandi, D Atienza
IEEE Transactions on Biomedical Engineering 69 (3), 1072-1084, 2021
132021
SPARE: A spectral peak recovery algorithm for PPG signals pulsewave reconstruction in multimodal wearable devices
G Masinelli, F Dell’Agnola, AA Valdés, D Atienza
Sensors 21 (8), 2725, 2021
132021
The system can't perform the operation now. Try again later.
Articles 161–180