Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Real-time personalized atrial fibrillation prediction on multi-core wearable sensors
E De Giovanni, AA Valdes, M Peon-Quiros, A Aminifar, D Atienza
IEEE Transactions on Emerging Topics in Computing 9 (4), 1654-1666, 2020
132020
An associativity-agnostic in-cache computing architecture optimized for multiplication
M Rios, W Simon, A Levisse, M Zapater, D Atienza
2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration …, 2019
132019
Nano-engineered architectures for ultra-low power wireless body sensor nodes
R Braojos, D Atienza, MMS Aly, TF Wu, HSP Wong, S Mitra, G Ansaloni
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on …, 2016
132016
Early classification of pathological heartbeats on wireless body sensor nodes
R Braojos, I Beretta, G Ansaloni, D Atienza
Sensors 14 (12), 22532-22551, 2014
132014
Thermal-aware compilation for system-on-chip processing architectures
MM Sabry, JL Ayala, D Atienza
Proceedings of the 20th symposium on Great lakes symposium on VLSI, 221-226, 2010
132010
Approximate zero-crossing: a new interpretable, highly discriminative and low-complexity feature for EEG and iEEG seizure detection
R Zanetti, U Pale, T Teijeiro, D Atienza
Journal of neural engineering 19 (6), 066018, 2022
122022
Modelling of vertical and ferroelectric junctionless technology for efficient 3D neural network compute cube dedicated to embedded artificial intelligence
C Maneux, C Mukherjee, M Deng, M Dubourg, L Réveil, G Bordea, ...
2021 IEEE International Electron Devices Meeting (IEDM), 15.6. 1-15.6. 4, 2021
122021
ReLearn: A Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals*
A Iranfar, A Arza, D Atienza
2021 43rd Annual International Conference of the IEEE Engineering in …, 2021
122021
A flexible in-memory computing architecture for heterogeneously quantized CNNs
F Ponzina, M Rios, G Ansaloni, A Levisse, D Atienza
2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 164-169, 2021
122021
Self-aware anomaly-detection for epilepsy monitoring on low-power wearable electrocardiographic devices
F Forooghifar, A Aminifar, T Teijeiro, A Aminifar, J Jeppesen, S Beniczky, ...
2021 IEEE 3rd International Conference on Artificial Intelligence Circuits …, 2021
122021
Noise-resilient and interpretable epileptic seizure detection
AH Thomas, A Aminifar, D Atienza
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
122020
Resource management for power-constrained HEVC transcoding using reinforcement learning
L Costero, A Iranfar, M Zapater, FD Igual, K Olcoz, D Atienza
IEEE Transactions on Parallel and Distributed Systems 31 (12), 2834-2850, 2020
122020
Containergy—a container-based energy and performance profiling tool for next generation workloads
W Silva-de-Souza, A Iranfar, A Bráulio, M Zapater, S Xavier-de-Souza, ...
Energies 13 (9), 2162, 2020
122020
Self-aware machine learning for multimodal workload monitoring during manual labor on edge wearable sensors
G Masinelli, F Forooghifar, A Arza, D Atienza, A Aminifar
IEEE Design & Test 37 (5), 58-66, 2020
122020
Synthetic epileptic brain activities using GANs
D Pascual, A Aminifar, D Atienza, P Ryvlin, R Wattenhofer
Machine Learning for Health (ML4H) at NeurIPS, 2019
122019
An inexact ultra-low power bio-signal processing architecture with lightweight error recovery
S Basu, L Duch, R Braojos, G Ansaloni, L Pozzi, D Atienza
ACM Transactions on Embedded Computing Systems (TECS) 16 (5s), 1-19, 2017
122017
Optimal multi-processor SoC thermal simulation via adaptive differential equation solvers
F Zanini, D Atienza, AK Coskun, G De Micheli
2009 17th IFIP International Conference on Very Large Scale Integration …, 2009
122009
Many-to-one knowledge distillation of real-time epileptic seizure detection for low-power wearable internet of things systems
S Baghersalimi, A Amirshahi, F Forooghifar, T Teijeiro, A Aminifar, ...
arXiv preprint arXiv:2208.00885, 2022
112022
VWR2A: a very-wide-register reconfigurable-array architecture for low-power embedded devices
BW Denkinger, M Peón-Quirós, M Konijnenburg, D Atienza, F Catthoor
Proceedings of the 59th ACM/IEEE Design Automation Conference, 895-900, 2022
112022
ECOGreen: Electricity cost optimization for green datacenters in emerging power markets
A Pahlevan, M Zapater, AK Coskun, D Atienza
IEEE Transactions on Sustainable Computing 6 (2), 289-305, 2020
112020
The system can't perform the operation now. Try again later.
Articles 181–200