Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Bringing NoCs to 65 nm
A Pullini, F Angiolini, S Murali, D Atienza, G De Micheli, L Benini
IEEE Micro 27 (5), 75-85, 2007
1012007
Modeling and dynamic management of 3D multicore systems with liquid cooling
AK Coskun, JL Ayala, D Atienza, TS Rosing
2009 17th IFIP International Conference on Very Large Scale Integration …, 2009
992009
BLADE: An in-Cache Computing Architecture for Edge Devices
WA Simon, YM Qureshi, MA Rios, ASJ Levisse, M Zapater Sancho, ...
Transactions on Computers, 2020
96*2020
Multicore thermal management with model predictive control
F Zanini, D Atienza, L Benini, G De Micheli
2009 European Conference on Circuit Theory and Design, 711-714, 2009
962009
A modular low-complexity ECG delineation algorithm for real-time embedded systems
JM Bote, J Recas, F Rincón, D Atienza, R Hermida
IEEE journal of biomedical and health informatics 22 (2), 429-441, 2017
952017
A real-time compressed sensing-based personal electrocardiogram monitoring system
K Kanoun, H Mamaghanian, N Khaled, D Atienza
2011 Design, Automation & Test in Europe, 1-6, 2011
942011
Flyjacket: An upper body soft exoskeleton for immersive drone control
C Rognon, S Mintchev, F Dell'Agnola, A Cherpillod, D Atienza, ...
IEEE Robotics and Automation Letters 3 (3), 2362-2369, 2018
882018
Multi-core architecture design for ultra-low-power wearable health monitoring systems
AY Dogan, J Constantin, M Ruggiero, A Burg, D Atienza
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 988-993, 2012
88*2012
Design and exploration of low-power analog to information conversion based on compressed sensing
H Mamaghanian, N Khaled, D Atienza, P Vandergheynst
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2 (3 …, 2012
862012
Energy-efficient multiobjective thermal control for liquid-cooled 3-D stacked architectures
MM Sabry, AK Coskun, D Atienza, TŠ Rosing, T Brunschwiler
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
832011
Reliability-aware design for nanometer-scale devices
D Atienza, G De Micheli, L Benini, JL Ayala, PG Del Valle, M DeBole, ...
2008 Asia and South Pacific Design Automation Conference, 549-554, 2008
83*2008
A self-aware epilepsy monitoring system for real-time epileptic seizure detection
F Forooghifar, A Aminifar, L Cammoun, I Wisniewski, C Ciumas, P Ryvlin, ...
Mobile Networks and Applications, 1-14, 2022
82*2022
Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries
A Sridhar, A Vincenzi, M Ruggiero, T Brunschwiler, D Atienza
2010 16th International workshop on thermal investigations of ICs and …, 2010
822010
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip
D Atienza, PG Del Valle, G Paci, F Poletti, L Benini, G De Micheli, ...
Proceedings of the 43rd annual Design Automation Conference, 618-623, 2006
812006
Thermal balancing policy for multiprocessor stream computing platforms
F Mulas, D Atienza, A Acquaviva, S Carta, L Benini, G De Micheli
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
802009
Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling
PG Del Valle, D Atienza
Microelectronics Journal 42 (4), 564-571, 2011
79*2011
NoC design and implementation in 65nm technology
A Pullini, F Angiolini, P Meloni, D Atienza, S Murali, L Raffo, G De Micheli, ...
First International Symposium on Networks-on-Chip (NOCS'07), 273-282, 2007
792007
BLADE: An in-Cache Computing Architecture for Edge Devices
WA Simon, YM Qureshi, MA Rios, ASJ Levisse, M Zapater Sancho, ...
Transactions on Computers, 2020
782020
A mapping-scheduling algorithm for hardware acceleration on reconfigurable platforms
JA Clemente, I Beretta, V Rana, D Atienza, D Sciuto
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 7 (2), 1-27, 2014
78*2014
Neural network-based thermal simulation of integrated circuits on GPUs
A Sridhar, A Vincenzi, M Ruggiero, D Atienza
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
77*2011
The system can't perform the operation now. Try again later.
Articles 21–40