Articles with public access mandates - Norbert WehnLearn more
Not available anywhere: 63
idocchip: A configurable hardware architecture for historical document image processing: Percentile based binarization
V Rybalkin, SS Bukhari, MM Ghaffar, A Ghafoor, N Wehn, A Dengel
Proceedings of the ACM Symposium on Document Engineering 2018, 1-8, 2018
Mandates: Federal Ministry of Education and Research, Germany
The role of memories in transprecision computing
C Weis, M Jung, ÉF Zulian, C Sudarshan, DM Mathew, N Wehn
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
Mandates: German Research Foundation, European Commission
Supervised testing of concurrent software in embedded systems
J Jahić, T Kuhn, M Jung, N Wehn
2017 International Conference on Embedded Computer Systems: Architectures …, 2017
Mandates: Fraunhofer-Gesellschaft
A new LDPC decoder hardware implementation with improved error rates
P Schläfer, S Scholl, E Leonardi, N Wehn
2015 IEEE Jordan Conference on Applied Electrical Engineering and Computing …, 2015
Mandates: German Research Foundation
Advanced hardware architecture for soft decoding Reed-Solomon codes
S Scholl, N Wehn
2014 8th International Symposium on Turbo Codes and Iterative Information …, 2014
Mandates: German Research Foundation
ZuSE Ki-Avf: application-specific AI processor for intelligent sensor signal processing in autonomous driving
GB Thieu, S Gesper, G Payá-Vayá, C Riggers, O Renke, T Fiedler, ...
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
Mandates: Federal Ministry of Education and Research, Germany
Parallel single parity check nodes for high-throughput Fast-SSCL polar code decoders
L Johannsen, C Kestel, T Vogt, N Wehn
2022 IEEE Symposium on Future Telecommunication Technologies (SOFTT), 28-34, 2022
Mandates: Federal Ministry of Education and Research, Germany
Forward-error-correction for beyond-5G ultra-high throughput communications
N Wehn, O Sahin, M Herrmann
2021 11th International Symposium on Topics in Coding (ISTC), 1-5, 2021
Mandates: European Commission
Improved maximum-likelihood decoding using sparse parity-check matrices
F Gensheimer, T Dietz, S Ruzika, K Kraft, N Wehn
2018 25th International Conference on Telecommunications (ICT), 236-240, 2018
Mandates: German Research Foundation
A framework for non-intrusive trace-driven simulation of manycore architectures with dynamic tracing configuration
J Jahic, M Jung, T Kuhn, C Kestel, N Wehn
Runtime Verification: 18th International Conference, RV 2018, Limassol …, 2018
Mandates: Fraunhofer-Gesellschaft, Federal Ministry of Education and Research, Germany
A new state model for DRAMs using Petri Nets
M Jung, K Kraft, N Wehn
2017 International Conference on Embedded Computer Systems: Architectures …, 2017
Mandates: German Research Foundation, Fraunhofer-Gesellschaft, European Commission
Latency reduced lte-a turbo-code decoding with iteration balancing on transport block level
S Weithoffer, N Wehn
SCC 2017; 11th International ITG Conference on Systems, Communications and …, 2017
Mandates: German Research Foundation
ASIC design of a Gbit/s LDPC decoder for iterative MIMO systems
C Gimmler, F Kienle, C Weis, N Wehn, M Alles
2012 International Conference on Computing, Networking and Communications …, 2012
Mandates: German Research Foundation
From algorithm to implementation: Enabling high-throughput CNN-based equalization on FPGA for optical communications
J Ney, C Füllner, V Lauinger, L Schmalen, S Randel, N Wehn
International Conference on Embedded Computer Systems, 158-173, 2023
Mandates: Federal Ministry of Education and Research, Germany
In-Memory Computing exceeding 10000 TOPS/W using Ferroelectric Field Effect Transistors for EdgeAI Applications
N Laleni, T Soliman, F Mueller, S Mojumder, T Kirchner, M Lederer, ...
MikroSystemTechnik Congress 2021; Congress, 1-4, 2021
Mandates: European Commission
Application-aware cross-layer reliability analysis and optimization
M Glaß, H Aliee, L Chen, M Ebrahimi, F Khosravi, VB Kleeberger, A Listl, ...
it-Information Technology 57 (3), 159-169, 2015
Mandates: German Research Foundation
Pricing high-dimensional American options on hybrid CPU/FPGA systems
JA Varela, C Brugger, S Tang, N Wehn, R Korn
FPGA Based Accelerators for Financial Applications, 143-166, 2015
Mandates: German Research Foundation
A systematic methodology for analyzing closed-form Heston pricer regarding their accuracy and runtime
C Brugger, G Liu, C de Schryver, N Wehn
2014 Seventh Workshop on High Performance Computational Finance, 9-16, 2014
Mandates: German Research Foundation
A simplex algorithm for LP decoding hardware
F Gensheimer, S Ruzika, S Scholl, N Wehn
2014 IEEE 25th Annual International Symposium on Personal, Indoor, and …, 2014
Mandates: German Research Foundation
Cross-layer error resilience and its application to wireless communication systems
C Gimmler-Dumont, M May, N Wehn
Journal of Low Power Electronics 9 (1), 119-132, 2013
Mandates: German Research Foundation
Publication and funding information is determined automatically by a computer program