Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Towards thermally-aware design of 3D MPSoCs with inter-tier cooling
MM Sabry, A Sridhar, D Atienza, Y Temiz, Y Leblebici, S Szczukiewicz, ...
2011 Design, Automation & Test in Europe, 1-6, 2011
372011
Online convex optimization-based algorithm for thermal management of MPSoCs
F Zanini, D Atienza, G De Micheli, SP Boyd
Proceedings of the 20th symposium on Great lakes symposium on VLSI, 203-208, 2010
372010
A complete multi-processor system-on-chip FPGA-based emulation framework
PG Del Valle, D Atienza, I Magan, JG Flores, EA Perez, JM Mendias, ...
2006 IFIP International Conference on Very Large Scale Integration, 140-145, 2006
37*2006
Towards near-threshold server processors
A Pahlevan, J Picorel, AP Zarandi, D Rossi, M Zapater, A Bartolini, ...
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 7-12, 2016
362016
Power-efficient joint compressed sensing of multi-lead ECG signals
H Mamaghanian, G Ansaloni, D Atienza, P Vandergheynst
2014 IEEE International Conference on Acoustics, Speech and Signal …, 2014
362014
Robust epileptic seizure detection on wearable systems with reduced false-alarm rate
R Zanetti, A Aminifar, D Atienza
2020 42nd annual international conference of the IEEE engineering in …, 2020
352020
Predictive reliability and fault management in exascale systems: State of the art and perspectives
R Canal, C Hernandez, R Tornero, A Cilardo, G Massari, F Reghenzani, ...
ACM Computing Surveys (CSUR) 53 (5), 1-32, 2020
332020
Optimization methodology of dynamic data structures based on genetic algorithms for multimedia embedded systems
C Baloukas, JL Risco-Martin, D Atienza, C Poucet, L Papadopoulos, ...
Journal of Systems and Software 82 (4), 590-602, 2009
322009
Programmable logic circuits based on ambipolar CNFET
MH Ben Jamaa, D Atienza, Y Leblebici, G De Micheli
Proceedings of the 45th annual Design Automation Conference, 339-340, 2008
312008
Graphene-based wireless agile interconnects for massive heterogeneous multi-chip processors
S Abadal, R Guirado, H Taghvaee, A Jain, EP de Santana, PH Bolívar, ...
IEEE wireless communications 30 (4), 162-169, 2022
302022
Noninvasive detection of focal seizures in ambulatory patients
P Ryvlin, L Cammoun, I Hubbard, F Ravey, S Beniczky, D Atienza
Epilepsia 61, S47-S54, 2020
302020
Real-time EEG-based cognitive workload monitoring on wearable devices
R Zanetti, A Arza, A Aminifar, D Atienza
IEEE transactions on biomedical engineering 69 (1), 265-277, 2021
292021
MANGO: exploring manycore architectures for next-generation HPC systems
J Flich, G Agosta, P Ampletzer, DA Alonso, C Brandolese, E Cappe, ...
2017 Euromicro Conference on Digital System Design (DSD), 478-485, 2017
292017
A methodology for embedded classification of heartbeats using random projections
R Braojos, G Ansaloni, D Atienza
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 899-904, 2013
292013
Hierarchical thermal management policy for high-performance 3D systems with liquid cooling
F Zanini, MM Sabry, D Atienza, G De Micheli
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 1 (2 …, 2011
292011
Multicore thermal management using approximate explicit model predictive control
F Zanini, CN Jones, D Atienza, G De Micheli
2010 IEEE International Symposium on Circuits and Systems (ISCAS), 3321-3324, 2010
282010
Reliable power and time-constraints-aware predictive management of heterogeneous exascale systems
W Fornaciari, G Agosta, D Atienza, C Brandolese, L Cammoun, ...
Proceedings of the 18th International Conference on Embedded Computer …, 2018
272018
Estimation of blood pressure and pulse transit time using your smartphone
AD Junior, S Murali, F Rincon, D Atienza
2015 Euromicro Conference on Digital System Design, 173-180, 2015
272015
Structured sparsity models for compressively sensed electrocardiogram signals: A comparative study
H Mamaghanian, N Khaled, D Atienza, P Vandergheynst
2011 IEEE Biomedical Circuits and Systems Conference (BioCAS), 125-128, 2011
272011
Cognitive workload monitoring in virtual reality based rescue missions with drones
F Dell’Agnola, N Momeni, A Arza, D Atienza
International conference on human-computer interaction, 397-409, 2020
262020
The system can't perform the operation now. Try again later.
Articles 81–100