Follow
Avinash Lingamneni
Avinash Lingamneni
ML Hardware Architect @ Google
Verified email at google.com - Homepage
Title
Cited by
Year
Innovation for Sustainability in Information and Communication Technologies
C Bronk, A Lingamneni, K Palem
2016
Perceptually guided inexact dsp design for power, area efficient hearing aid
SP Kadiyala, A Sen, S Mahajan, Q Wang, A Lingamneni, JS German, ...
2015 IEEE Biomedical Circuits and Systems Conference (BioCAS), 1-4, 2015
52015
Leveraging the error resilience of neural networks for designing highly energy efficient accelerators
Z Du, A Lingamneni, Y Chen, KV Palem, O Temam, C Wu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
612015
Highly energy-efficient and quality-tunable inexact FFT accelerators
A Lingamneni, C Enz, K Palem, C Piguet
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 1-4, 2014
182014
On the use of inexact, pruned hardware in atmospheric modelling
PD Düben, J Joven, A Lingamneni, H McNamara, G De Micheli, ...
Philosophical Transactions of the Royal Society A: Mathematical, Physical …, 2014
522014
Realizing Ultra Energy-efficient Hardware Systems through Inexact Computing
A Lingamneni
2014
Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators
Z Du, A Lingamneni, Y Chen, K Palem, O Temam, C Wu
Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific …, 2014
1492014
Why design reliable chips when faulty ones are even better
K Palem, A Lingamneni, C Enz, C Piguet
2013 Proceedings of the ESSCIRC (ESSCIRC), 255-258, 2013
82013
Improving energy gains of inexact DSP hardware through reciprocative error compensation
A Lingamneni, A Basu, C Enz, KV Palem, C Piguet
Proceedings of the 50th Annual Design Automation Conference, 1-8, 2013
242013
Ten years of building broken chips: The physics and engineering of inexact computing
K Palem, A Lingamneni
ACM Transactions on Embedded Computing Systems (TECS) 12 (2s), 1-23, 2013
1212013
Synthesizing parsimonious inexact circuits through probabilistic design techniques
A Lingamneni, C Enz, K Palem, C Piguet
ACM Transactions on Embedded Computing Systems (TECS) 12 (2s), 1-26, 2013
662013
Designing energy-efficient arithmetic operators using inexact computing
A Lingamneni, C Enz, K Palem, C Piguet
Journal of Low Power Electronics 9 (1), 141-153, 2013
212013
What to do about the end of Moore's law, probably!
K Palem, A Lingamneni
Proceedings of the 49th Annual Design Automation Conference, 924-929, 2012
622012
Algorithmic methodologies for ultra-efficient inexact architectures for sustaining technology scaling
A Lingamneni, KK Muntimadugu, C Enz, RM Karp, KV Palem, C Piguet
Proceedings of the 9th Conference on Computing Frontiers, 3-12, 2012
592012
COMPUTING DEVICE USING INEXACT COMPUTING ARCHITECTURE PROCESSOR
KV Palem, LB Chakrapani, A Lingamneni
US Patent 20,110,321,067, 2011
12011
Implementing Energy Parsimonious Circuits through Inexact Designs
A Lingamneni
Rice University, 2011
22011
Energy parsimonious circuit design through probabilistic pruning
A Lingamneni, C Enz, JL Nagel, K Palem, C Piguet
2011 Design, Automation & Test in Europe, 1-6, 2011
1412011
Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization
A Lingamneni, C Enz, K Palem, C Piguet
Integrated Circuit and System Design. Power and Timing Modeling …, 2011
222011
Innovation for sustainability in information and communication technologies (ICT)
C Bronk, A Lingamneni, K Palem
James A Baker III Institute for Public Policy Rice University, 2010
242010
Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects
KV Palem, LNB Chakrapani, ZM Kedem, A Lingamneni, KK Muntimadugu
Proceedings of the 2009 international conference on Compilers, architecture …, 2009
942009
The system can't perform the operation now. Try again later.
Articles 1–20