Follow
Jürgen Teich
Title
Cited by
Year
OpTC–A Toolchain for Deployment of Neural Networks on AURIX TC3xx Microcontrollers
C Heidorn, F Hannig, D Riedelbauch, C Strohmeyer, J Teich
International Stuttgart Symposium, 65-81, 2024
2024
Efficient Deployment of Neural Networks for Thermal Monitoring on AURIX TC3xx Microcontrollers.
C Heidorn, F Hannig, D Riedelbauch, C Strohmeyer, J Teich
VEHITS, 64-75, 2024
12024
CRESTS: Chronology-based Reconstruction for Side-Channel Trace Segmentation for XTS-AES on Complex Targets
P Krüger, S Wildermann, J Teich
Proceedings of the 17th European Workshop on Systems Security, 37-43, 2024
2024
ABACUS: ASIP-Based Avro Schema-Customizable Parser Acceleration on FPGAs
T Hahn, D Schüll, S Wildermann, J Teich
2024 27th International Symposium on Design & Diagnostics of Electronic …, 2024
2024
Hardware-Aware Evolutionary Explainable Filter Pruning for Convolutional Neural Networks
C Heidorn, M Sabih, N Meyerhöfer, C Schinabeck, J Teich, F Hannig
International Journal of Parallel Programming 52 (1), 40-58, 2024
22024
Range-Based Run-time Requirement Enforcement of Non-Functional Properties on MPSoCs
K Esper, S Wildermann, J Teich
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-2, 2024
2024
Accelerating DNNs Using Weight Clustering on RISC-V Custom Functional Units
M Sabih, B Sesli, F Hannig, J Teich
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-2, 2024
2024
Design, Calibration, and Evaluation of Real-time Waveform Matching on an FPGA-based Digitizer at 10 GS/s
J Trautmann, P Krüger, A Becher, S Wildermann, J Teich
ACM Transactions on Reconfigurable Technology and Systems 17 (2), 1-28, 2024
12024
SNN vs. CNN Implementations on FPGAs: An Empirical Evaluation
P Plagwitz, F Hannig, J Teich, O Keszocze
International Symposium on Applied Reconfigurable Computing, 3-18, 2024
2024
Exploring Multi-Reader Buffers and Channel Placement during Dataflow Network Mapping to Heterogeneous Many-core Systems
M Letras, J Falk, J Teich
IEEE Access, 2024
2024
Compiler-based Processor Network Generation for Neural Networks on FPGAs
P Plagwitz, F Hannig, J Teich, O Keszocze
MBMV 2024; 27. Workshop, 41-52, 2024
2024
Estimating the Execution Time of CNN Inference on GPUs
S Groth, M Schmid, J Teich, F Hannig
MBMV 2024; 27. Workshop, 53-62, 2024
2024
A Scenario-Based DVFS-Aware Hybrid Application Mapping Methodology for MPSoCs
J Spieck, S Wildermann, J Teich
ACM Transactions on Design Automation of Electronic Systems, 2024
2024
History-Based Run-Time Requirement Enforcement of Non-Functional Properties on MPSoCs
K Esper, J Teich
Fifth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2024), 2024
2024
Automatic synthesis of FSMs for enforcing non-functional requirements on MPSoCs using multi-objective evolutionary algorithms
K Esper, S Wildermann, J Teich
ACM Transactions on Design Automation of Electronic Systems 28 (6), 1-20, 2023
42023
Hybrid genetic reinforcement learning for generating run-time requirement enforcers
J Spieck, PL Sixdenier, K Esper, S Wildermann, J Teich
Proceedings of the 21st ACM-IEEE International Conference on Formal Methods …, 2023
32023
Special Session-Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications
J Henkel, L Siddhu, L Bauer, J Teich, S Wildermann, M Tahoori, ...
Proceedings of the International Conference on Compilers, Architecture, and …, 2023
2023
SPEAR-JSON: Selective parsing of JSON to enable accelerated stream processing on fpgas
T Hahn, S Wildermann, J Teich
2023 33rd International Conference on Field-Programmable Logic and …, 2023
12023
Efficient table-based function approximation on FPGAs using interval splitting and BRAM instantiation
C Pradhan, M Letras, J Teich
ACM Transactions on Embedded Computing Systems 22 (4), 1-24, 2023
22023
Seque: Lean and Energy-aware Data Management for IoT Gateways
PL Sixdenier, S Wildermann, M Ottens, J Teich
2023 IEEE International Conference on Edge Computing and Communications …, 2023
12023
The system can't perform the operation now. Try again later.
Articles 1–20