Articles with public access mandates - Xuan ZhangLearn more
Not available anywhere: 4
A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications
T Tong, SK Lee, X Zhang, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 51 (9), 2142-2152, 2016
Mandates: US National Science Foundation
Processing Near Sensor Architecture in Mixed-Signal Domain With CMOS Image Sensor of Convolutional-Kernel-Readout Method
Z Chen, H Zhu, E Ren, Z Liu, K Jia, L Luo, X Zhang, Q Wei, F Qiao, X Liu, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (2), 389-400, 2019
Mandates: National Natural Science Foundation of China
RoSE: Robust Analog Circuit Parameter Optimization with Sampling-Efficient Reinforcement Learning
J Gao, W Cao, X Zhang
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
Mandates: US National Science Foundation
A/D Alleviator: Reducing Analog-to-Digital Conversions in Compute-In-Memory with Augmented Analog Accumulation
W Cao, X Zhang
2023 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2023
Mandates: US National Science Foundation
Available somewhere: 48
Attacking vision-based perception in end-to-end autonomous driving models
A Boloor, K Garimella, X He, C Gill, Y Vorobeychik, X Zhang
Journal of Systems Architecture 110, 101766, 2020
Mandates: US National Science Foundation, US Department of Defense
Simple physical adversarial examples against end-to-end autonomous driving models
A Boloor, X He, C Gill, Y Vorobeychik, X Zhang
2019 IEEE International Conference on Embedded Software and Systems (ICESS), 1-7, 2019
Mandates: US National Science Foundation
Fully integrated parity–time-symmetric electronics
W Cao, C Wang, W Chen, S Hu, H Wang, L Yang, X Zhang
Nature nanotechnology 17 (3), 262-268, 2022
Mandates: US National Science Foundation
Nnest: Early-stage design space exploration tool for neural network inference accelerators
L Ke, X He, X Zhang
Proceedings of the International Symposium on Low Power Electronics and …, 2018
Mandates: US National Science Foundation
A low mass power electronics unit to drive piezoelectric actuators for flying microrobots
M Lok, EF Helbling, X Zhang, R Wood, D Brooks, GY Wei
IEEE Transactions on Power Electronics 33 (4), 3180-3191, 2017
Mandates: US National Science Foundation, US Department of Defense
AxTrain: Hardware-oriented neural network training for approximate inference
X He, L Ke, W Lu, G Yan, X Zhang
Proceedings of the International Symposium on Low Power Electronics and …, 2018
Mandates: National Natural Science Foundation of China
When Capacitors Attack: Formal Method Driven Design and Detection of Charge-Domain Trojans
X Guo, H Zhu, Y Jin, X Zhang
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
Mandates: US National Science Foundation, US Department of Defense
PCBChain: Lightweight Reconfigurable Blockchain Primitives for Secure IoT Applications
W Yan, N Zhang, LL Njilla, X Zhang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (10 …, 2020
Mandates: US National Science Foundation, US Department of Defense
Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs
A Zou, J Leng, X He, Y Zu, CD Gill, VJ Reddi, X Zhang
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
Mandates: US National Science Foundation, National Natural Science Foundation of China
NeuADC: Neural network-inspired synthesizable analog-to-digital conversion
W Cao, X He, A Chakrabarti, X Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
Mandates: US National Science Foundation
Joint design of training and hardware towards efficient and accuracy-scalable neural network inference
X He, W Lu, G Yan, X Zhang
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (4 …, 2018
Mandates: National Natural Science Foundation of China
A Fully Integrated Battery-Powered System-on-Chip in 40-nm CMOS for Closed-Loop Control of Insect-Scale Pico-Aerial Vehicle
X Zhang, M Lok, T Tong, SK Lee, B Reagen, S Chaput, PEJ Duhamel, ...
IEEE Journal of Solid-State Circuits 52 (9), 2374-2387, 2017
Mandates: US National Science Foundation, US Department of Defense
A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC Converter
SK Lee, T Tong, X Zhang, D Brooks, GY Wei
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2017
Mandates: US National Science Foundation, US Department of Defense
Hercules: Heterogeneity-aware inference serving for at-scale personalized recommendation
L Ke, U Gupta, M Hempstead, CJ Wu, HHS Lee, X Zhang
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
Mandates: US National Science Foundation
Neural-PIM: Efficient processing-in-memory with neural approximation of peripherals
W Cao, Y Zhao, A Boloor, Y Han, X Zhang, L Jiang
IEEE Transactions on Computers 71 (9), 2142-2155, 2021
Mandates: US National Science Foundation, National Natural Science Foundation of China
Ivory: Early-stage design space exploration tool for integrated voltage regulators
A Zou, J Leng, Y Zu, T Tong, VJ Reddi, D Brooks, GY Wei, X Zhang
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
Mandates: US National Science Foundation, US Department of Defense
Publication and funding information is determined automatically by a computer program