Articles with public access mandates - Jason K. EshraghianLearn more
Not available anywhere: 8
Human ownership of artificial creativity
JK Eshraghian
Nature Machine Intelligence 2 (3), 157-160, 2020
Mandates: US National Science Foundation
A novel universal interface for constructing memory elements for circuit applications
C Zheng, D Yu, HHC Iu, T Fernando, T Sun, JK Eshraghian, H Guo
IEEE Transactions on Circuits and Systems I: Regular Papers 66 (12), 4793-4806, 2019
Mandates: National Natural Science Foundation of China
A behavioral spice model of a binarized memristor for digital logic implementation
X Wang, C Jin, JK Eshraghian, HHC Iu, C Ha
Circuits, Systems, and Signal Processing 40, 2682-2693, 2021
Mandates: National Natural Science Foundation of China
A deep learning approach to cardiovascular disease classification using empirical mode decomposition for ECG feature extraction
Y Li, J Luo, Q Dai, JK Eshraghian, BWK Ling, C Zheng, X Wang
Biomedical Signal Processing and Control 79, 104188, 2023
Mandates: National Natural Science Foundation of China
FPGA synthesis of ternary memristor-CMOS decoders for active matrix microdisplays
XY Wang, ZR Wu, PF Zhou, HHC Iu, SM Kang, JK Eshraghian
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (9), 3501-3511, 2022
Mandates: National Natural Science Foundation of China
Tri-state memristors based on composable discrete devices
XJ Li, XY Wang, P Li, HHC Iu, JK Eshraghian, SK Nandi, SK Nath, ...
International Journal of Bifurcation and Chaos 33 (07), 2330018, 2023
Mandates: National Natural Science Foundation of China
Spiking Neuron Implementation Using a Novel Floating Memcapacitor Emulator
C Zheng, L Peng, JK Eshraghian, X Wang, J Cen, HHC Iu
International Journal of Bifurcation and Chaos 32 (15), 2250224, 2022
Mandates: National Natural Science Foundation of China
Modeling and hardware implementation of universal interface-based floating fractional-order mem-elements
Y Li, L Xie, C Zheng, D Yu, JK Eshraghian
Chaos: An Interdisciplinary Journal of Nonlinear Science 33 (1), 2023
Mandates: National Natural Science Foundation of China
Available somewhere: 14
Training spiking neural networks using lessons from deep learning
JK Eshraghian, M Ward, EO Neftci, X Wang, G Lenz, G Dwivedi, ...
Proceedings of the IEEE, 2023
Mandates: US National Science Foundation
Hardware Implementation of Deep Network Accelerators Towards Healthcare and Biomedical Applications
M Rahimiazghadi, C Lammie, JK Eshraghian, M Payvand, E Donati, ...
IEEE Transactions on Biomedical Circuits and Systems 14 (6), 1138 - 1159, 2020
Mandates: European Commission, Government of Spain
Complementary Metal‐Oxide Semiconductor and Memristive Hardware for Neuromorphic Computing
M Rahimi Azghadi, YC Chen, JK Eshraghian, J Chen, CY Lin, ...
Advanced Intelligent Systems, 1900189, 2020
Mandates: UK Engineering and Physical Sciences Research Council
High-Density Memristor-CMOS Ternary Logic Family
XY Wang, PF Zhou, JK Eshraghian, CY Lin, HHC Iu, TC Chang, SM Kang
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (1), 264-274, 2021
Mandates: National Natural Science Foundation of China
Memristor-based binarized spiking neural networks: Challenges and applications
JK Eshraghian, X Wang, WD Lu
IEEE Nanotechnology Magazine 16 (2), 14-23, 2022
Mandates: US National Science Foundation, US Department of Defense
How to build a memristive integrate-and-fire model for spiking neuronal signal generation
SM Kang, D Choi, JK Eshraghian, P Zhou, J Kim, BS Kong, X Zhu, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (12), 4837-4850, 2021
Mandates: US National Science Foundation, US Department of Defense, German Research …
Low-variance memristor-based multi-level ternary combinational logic
XY Wang, CT Dong, PF Zhou, SK Nandi, SK Nath, RG Elliman, HHC Iu, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (6), 2423-2434, 2022
Mandates: Australian Research Council, National Natural Science Foundation of China
Side-channel attack analysis on in-memory computing architectures
Z Wang, F Meng, Y Park, JK Eshraghian, WD Lu
IEEE Transactions on Emerging Topics in Computing 12 (1), 109-121, 2023
Mandates: US Department of Defense
Gradient-based neuromorphic learning on dynamical RRAM arrays
P Zhou, DU Choi, WD Lu, SM Kang, JK Eshraghian
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 12 (4 …, 2022
Mandates: US National Science Foundation
Spice modelling of a tri‐state memristor and analysis of its series and parallel characteristics
P Li, X Wang, X Zhang, JK Eshraghian, HHC Lu
IET Circuits, Devices & Systems 16 (1), 81-91, 2022
Mandates: National Natural Science Foundation of China
PowerGAN: A Machine Learning Approach for Power Side-Channel Attack on Compute-in-Memory Accelerators
Z Wang, Y Wu, Y Park, S Yoo, X Wang, JK Eshraghian, WD Lu
Advanced Intelligent Systems, 2023
Mandates: US National Science Foundation, US Department of Defense
10 MA cm− 2 current density in nanoscale conductive bridge threshold switching selector via densely localized cation sources
Q Lin, J Feng, J Yuan, L Liu, JK Eshraghian, H Tong, M Xu, X Wang, ...
Journal of Materials Chemistry C 9 (41), 14799-14807, 2021
Mandates: National Natural Science Foundation of China
Publication and funding information is determined automatically by a computer program