Articles with public access mandates - Kevin SkadronLearn more
Not available anywhere: 14
SPEC ACCEL: A standard application suite for measuring hardware accelerator performance
G Juckeland, W Brantley, S Chandrasekaran, B Chapman, S Che, ...
International Workshop on Performance Modeling, Benchmarking and Simulation …, 2014
Mandates: US Department of Energy
Searching for potential gRNA off-target sites for CRISPR/Cas9 using automata processing across different platforms
C Bo, V Dang, E Sadredini, K Skadron
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
Mandates: US National Science Foundation, US Department of Defense
Hopscotch: a micro-benchmark suite for memory performance evaluation
A Ahmed, K Skadron
Proceedings of the International Symposium on Memory Systems, 167-172, 2019
Mandates: US Department of Energy, US Department of Defense
From 2.5 D to 3D chiplet systems: Investigation of thermal implications with HotSpot 7.0
JH Han, X Guo, K Skadron, MR Stan
2022 21st IEEE Intersociety Conference on Thermal and Thermomechanical …, 2022
Mandates: US Department of Defense
DRAM-CAM: General-purpose bit-serial exact pattern matching
L Wu, R Sharifi, A Venkat, K Skadron
IEEE Computer Architecture Letters 21 (2), 89-92, 2022
Mandates: US Department of Defense
Thermal simulation of processing-in-memory devices using HotSpot 7.0
JH Han, RE West, K Skadron, MR Stan
2021 27th International Workshop on Thermal Investigations of ICs and …, 2021
Mandates: US Department of Defense
Portable programming with rapid
K Angstadt, J Wadden, W Weimer, K Skadron
IEEE Transactions on Parallel and Distributed Systems 30 (4), 939-952, 2018
Mandates: US National Science Foundation, US Department of Defense
Cross-layer resilience in low-voltage digital systems: key insights
E Cheng, J Abraham, P Bose, A Buyuktosunoglu, K Campbell, D Chen, ...
2017 IEEE International Conference on Computer Design (ICCD), 593-596, 2017
Mandates: US National Science Foundation, US Department of Defense
Classifying images in a histopathological dataset using the cumulative distribution transform on an automata architecture
T Ly, R Sarkar, K Skadron, ST Acton
2017 IEEE Global Conference on Signal and Information Processing (GlobalSIP …, 2017
Mandates: US National Science Foundation, US Department of Defense
MTTF enhancement power-C4 bump placement optimization
S Rahimipour, R Zhang, K Wang, K Skadron, FZB Rokhani, MR Stan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (7 …, 2019
Mandates: US National Science Foundation
Pulley: An Algorithm/Hardware Co-Optimization for In-Memory Sorting
M Lenjani, A Ahmed, K Skadron
IEEE Computer Architecture Letters 21 (2), 109-112, 2022
Mandates: US Department of Defense
Edge crypt-pi: Securing internet of things with light and fast crypto-processor
M El-Hadedy, X Guo, K Skadron, WM Hwu
Proceedings of the Future Technologies Conference (FTC) 2020, Volume 3, 749-761, 2021
Mandates: US Department of Defense
Supporting Moderate Data Dependency, Position Dependency, and Divergence in PIM-Based Accelerators
M Lenjani, K Skadron
IEEE Micro 42 (1), 108-115, 2021
Mandates: US Department of Defense
Accelerating design convergence of automata processing designs with a tiled hierarchy
T Tracy II, J Wadden, T Xie, K Skadron, M Stan
FSP Workshop 2019; Sixth International Workshop on FPGAs for Software …, 2019
Mandates: US National Science Foundation, US Department of Defense
Available somewhere: 54
CLEAR: Cross-Layer Exploration for Architecting Resilience - Combining hardware and software techniques to tolerate soft errors in processor cores
E Cheng, S Mirkhani, LG Szafaryn, CY Cher, H Cho, K Skadron, MR Stan, ...
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
Mandates: US National Science Foundation
ANMLzoo: a benchmark suite for exploring bottlenecks in automata processing engines and architectures
J Wadden, V Dang, N Brunelle, T Tracy II, D Guo, E Sadredini, K Wang, ...
2016 IEEE International Symposium on Workload Characterization (IISWC), 1-12, 2016
Mandates: US National Science Foundation
Using cycle stacks to understand scaling bottlenecks in multi-threaded workloads
W Heirman, TE Carlson, S Che, K Skadron, L Eeckhout
2011 IEEE International Symposium on Workload Characterization (IISWC), 38-49, 2011
Mandates: Research Foundation (Flanders)
Sequential pattern mining with the micron automata processor
K Wang, E Sadredini, K Skadron
Proceedings of the ACM International Conference on Computing Frontiers, 135-144, 2016
Mandates: US National Science Foundation
An overview of micron's automata processor
K Wang, K Angstadt, C Bo, N Brunelle, E Sadredini, T Tracy, J Wadden, ...
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on …, 2016
Mandates: US National Science Foundation
REAPR: Reconfigurable engine for automata processing
T Xie, V Dang, J Wadden, K Skadron, M Stan
2017 27th International Conference on Field Programmable Logic and …, 2017
Mandates: US National Science Foundation, US Department of Defense
Publication and funding information is determined automatically by a computer program