Articles with public access mandates - Gokul KrishnanLearn more
Not available anywhere: 10
Accurate Inference with Inaccurate RRAM Devices: Statistical Data, Model Transfer, and On-line Adaptation
G Charan, J Hazra, K Beckmann, X Du, G Krishnan, R Joshi, N Cady, ...
Design Automation Conference (DAC) 2020, 2020
Mandates: US National Science Foundation
Robust RRAM-based In-Memory Computing in Light of Model Stability
G Krishnan, J Sun, J Hazra, X Du, M Liehr, Z Li, K Beckmann, RV Joshi, ...
IEEE International Reliability Physics Symposium (IRPS), 2021
Mandates: US Department of Defense
Small-world-based Structural Pruning for Efficient FPGA Inference of Deep Neural Networks
G Krishnan, Y Ma, Y Cao
2020 15th IEEE International Conference on Solid-State and Integrated …, 2020
Mandates: US National Science Foundation
Interconnect-Centric Benchmarking of In-Memory Acceleration for DNNS
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
China Semiconductor Technology International Conference (CSTIC), 2021
Mandates: US National Science Foundation, US Department of Defense
Ai computing in light of 2.5 d interconnect roadmap: Big-little chiplets for in-memory acceleration
Z Wang, GR Nair, G Krishnan, SK Mandal, N Cherian, JS Seo, ...
2022 International Electron Devices Meeting (IEDM), 23.6. 1-23.6. 4, 2022
Mandates: US Department of Defense
Big-Little Chiplets for In-Memory Acceleration of DNNs: A Scalable Heterogeneous Architecture
G Krishnan, AA Goksoy, SK Mandal, Z Wang, C Chakrabarti, J Seo, ...
IEEE International Conference On Computer Aided Design (ICCAD), 2022
Mandates: US Department of Defense
System-Level Benchmarking of Chiplet-based IMC Architectures for Deep Neural Network Acceleration
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
IEEE 14th International Conference on ASIC 2021, 2021
Mandates: US Department of Defense
Digital-assisted analog in-memory computing with rram devices
Z Wang, PS Nalla, G Krishnan, RV Joshi, NC Cady, D Fan, J Seo, Y Cao
2023 International VLSI Symposium on Technology, Systems and Applications …, 2023
Mandates: US Department of Defense
Design Limits of In-Memory Computing: Beyond the Crossbar
G Krishnan, J Hazra, M Liehr, X Du, K Beckmann, RV Joshi, NC Cady, ...
IEEE Electron Devices Technology and Manufacturing (EDTM), 2021
Mandates: US National Science Foundation, US Department of Defense
IMC architecture for robust DNN acceleration
G Krishnan, Z Wang, L Yang, I Yeo, J Meng, RV Joshi, NC Cady, D Fan, ...
2022 IEEE 16th International Conference on Solid-State & Integrated Circuit …, 2022
Mandates: US Department of Defense
Available somewhere: 12
MNSIM 2.0: A behavior-level modeling tool for memristor-based neuromorphic computing systems
Z Zhu, H Sun, K Qiu, L Xia, G Krishnan, G Dai, D Niu, X Chen, XS Hu, ...
Proceedings of the 2020 on Great Lakes Symposium on VLSI, 83-88, 2020
Mandates: National Natural Science Foundation of China
SIAM: Chiplet-based scalable in-memory acceleration with mesh for deep neural networks
G Krishnan, SK Mandal, M Pannala, C Chakrabarti, JS Seo, UY Ogras, ...
ACM Transactions on Embedded Computing Systems (TECS) 20 (5s), 1-24, 2021
Mandates: US Department of Defense
Accurate inference with inaccurate rram devices: A joint algorithm-design solution
G Charan, A Mohanty, X Du, G Krishnan, RV Joshi, Y Cao
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 6 …, 2020
Mandates: US National Science Foundation, US Department of Defense
Interconnect-Aware Area and Energy Optimization for In-Memory Acceleration of DNNs
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
IEEE Design and Test, 2020
Mandates: US National Science Foundation, US Department of Defense
A Latency-Optimized Reconfigurable NoC for In-Memory Acceleration of DNNs
SK Mandal, G Krishnan, C Chakrabarti, J Seo, Y Cao, U Ogras
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1-14, 2020
Mandates: US National Science Foundation, US Department of Defense
Impact of On-Chip Interconnect on In-Memory Acceleration of Deep Neural Networks
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
Journal on Emerging Technologies in Computing Systems, 2021
Mandates: US Department of Defense
Spikesim: An end-to-end compute-in-memory hardware evaluation tool for benchmarking spiking neural networks
A Moitra, A Bhattacharjee, R Kuang, G Krishnan, Y Cao, P Panda
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2023
Mandates: US National Science Foundation, US Department of Energy, US Department of …
COIN: Communication-Aware In-Memory Acceleration for Graph Convolutional Networks
SK Mandal, G Krishnan, AA Goksoy, GR Nair, Y Cao, UY Ogras
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2022
Mandates: US National Science Foundation, US Department of Defense
Towards Efficient Neural Networks On-a-chip: Joint Hardware-Algorithm Approaches
X Du, G Krishnan, A Mohanty, Z Li, G Charan, Y Cao
2019 China Semiconductor Technology International Conference (CSTIC), 2019
Mandates: US Department of Defense
Hybrid RRAM/SRAM in-memory computing for robust DNN acceleration
G Krishnan, Z Wang, I Yeo, L Yang, J Meng, M Liehr, RV Joshi, NC Cady, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
Mandates: US National Science Foundation, US Department of Defense
Publication and funding information is determined automatically by a computer program