Articles with public access mandates - Jiang HuLearn more
Not available anywhere: 14
Noise-aware DVFS for efficient transitions on battery-powered IoT devices
C Zhuo, S Luo, H Gan, J Hu, Z Shi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
Mandates: National Natural Science Foundation of China
Thwarting analog IC piracy via combinational locking
J Wang, C Shi, A Sanabria-Borbon, E Sánchez-Sinencio, J Hu
2017 IEEE International Test Conference (ITC), 1-10, 2017
Mandates: US National Science Foundation
Routing perturbation for enhanced security in split manufacturing
Y Wang, P Chen, J Hu, JJV Rajendran
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 605-510, 2017
Mandates: US National Science Foundation
Deep reinforcement learning-based irrigation scheduling
Y Yang, J Hu, D Porter, T Marek, K Heflin, H Kong
Transactions of the ASABE 63 (3), 549-556, 2020
Mandates: US Department of Agriculture
Front-end-of-line attacks in split manufacturing
Y Wang, T Cao, J Hu, J Rajendran
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2017
Mandates: US National Science Foundation
Making split fabrication synergistically secure and manufacturable
L Feng, Y Wang, J Hu, WK Mak, J Rajendran
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 313-320, 2017
Mandates: US National Science Foundation
Analog/RF IP protection: Attack models, defense techniques, and challenges
A Sanabria-Borbón, NG Jayasankaran, J Hu, J Rajendran, ...
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (1), 36-41, 2020
Mandates: US National Science Foundation
Transaction level stimulus optimization in functional verification using machine learning predictors
S Gogri, A Tyagi, M Quinn, J Hu
2022 23rd International Symposium on Quality Electronic Design (ISQED), 71-76, 2022
Mandates: US National Science Foundation
Machine Learning for Analog Layout
SM Burns, H Chen, T Dhar, R Harjani, J Hu, N Karmokar, K Kunal, Y Li, ...
Machine Learning Applications in Electronic Design Automation, 505-544, 2022
Mandates: US National Science Foundation, US Department of Defense
Control synthesis and delay sensor deployment for efficient ASV designs
C Li, SS Sapatnekar, J Hu
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2016
Mandates: US National Science Foundation
Early Identification of Timing Critical RTL Components using ML based Path Delay Prediction
P Sengupta, A Tyagi, Y Chen, J Hu
2023 ACM/IEEE 5th Workshop on Machine Learning for CAD (MLCAD), 1-6, 2023
Mandates: US National Science Foundation
Machine Learning Techniques for Pre-CTS Identification of Timing Critical Flip-Flops
C Fu, B Trombley, H Xiang, GJ Nam, J Hu
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1-6, 2023
Mandates: US National Science Foundation
DUCER: a Fast and Lightweight Error Correction Scheme for In-Vehicle Network Communication
H Kong, J Cheng, K Narayanan, J Hu
2018 IEEE International Conference on Vehicular Electronics and Safety …, 2018
Mandates: US National Science Foundation
Analog IP Protection and Evaluation
NG Jayasankaran, A Sanabria-Borbón, E Sánchez-Sinencio, J Hu, ...
Emerging Topics in Hardware Security, 419-469, 2020
Mandates: US National Science Foundation
Available somewhere: 65
RouteNet: Routability prediction for mixed-size designs using convolutional neural network
Z Xie, YH Huang, GQ Fang, H Ren, SY Fang, Y Chen, J Hu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
Mandates: US National Science Foundation
Exploring serverless computing for neural network training
L Feng, P Kudva, D Da Silva, J Hu
2018 IEEE 11th international conference on cloud computing (CLOUD), 334-341, 2018
Mandates: US National Science Foundation
ALIGN: Open-source analog layout automation from the ground up
K Kunal, M Madhusudan, AK Sharma, W Xu, SM Burns, R Harjani, J Hu, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
Mandates: US Department of Defense
A customized graph neural network model for guiding analog IC placement
Y Li, Y Lin, M Madhusudan, A Sharma, W Xu, SS Sapatnekar, R Harjani, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
Mandates: US Department of Defense
GANA: Graph convolutional network based automated netlist annotation for analog circuits
K Kunal, T Dhar, M Madhusudan, J Poojary, A Sharma, W Xu, SM Burns, ...
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 55-60, 2020
Mandates: US Department of Defense
A simple yet efficient accuracy-configurable adder design
W Xu, SS Sapatnekar, J Hu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (6 …, 2018
Mandates: US National Science Foundation
Publication and funding information is determined automatically by a computer program