Articles with public access mandates - Daniel J. SorinLearn more
Available somewhere: 16
A programmable architecture for robot motion planning acceleration
S Murray, W Floyd-Jones, G Konidaris, DJ Sorin
2019 IEEE 30th International Conference on Application-specific Systems …, 2019
Mandates: US Department of Defense
Bayesian optimization for efficient accelerator synthesis
A Mehrabi, A Manocha, BC Lee, DJ Sorin
ACM Transactions on Architecture and Code Optimization (TACO) 18 (1), 1-25, 2020
Mandates: US National Science Foundation
GreenFlag: Protecting 3D-racetrack memory from shift errors
G Mappouras, A Vahid, R Calderbank, DJ Sorin
2019 49th Annual IEEE/IFIP International Conference on Dependable Systems …, 2019
Mandates: US National Science Foundation
Learning sparse matrix row permutations for efficient spmm on gpu architectures
A Mehrabi, D Lee, N Chatterjee, DJ Sorin, BC Lee, M O'Connor
2021 IEEE International Symposium on Performance Analysis of Systems and …, 2021
Mandates: US National Science Foundation
Prospector: Synthesizing efficient accelerators via statistical learning
A Mehrabi, A Manocha, BC Lee, DJ Sorin
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 151-156, 2020
Mandates: US National Science Foundation
Foosball coding: Correcting shift errors and bit flip errors in 3D racetrack memory
S Archer, G Mappouras, R Calderbank, D Sorin
2020 50th Annual IEEE/IFIP International Conference on Dependable Systems …, 2020
Mandates: US National Science Foundation
ProtoGen: Automatically generating directory cache coherence protocols from atomic specifications
N Oswald, V Nagarajan, DJ Sorin
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
Mandates: US National Science Foundation, UK Engineering and Physical Sciences …
Verifiable hierarchical protocols with network invariants on parametric systems
O Matthews, J Bingham, DJ Sorin
2016 Formal Methods in Computer-Aided Design (FMCAD), 101-108, 2016
Mandates: US National Science Foundation
Jenga: Efficient fault tolerance for stacked dram
G Mappouras, A Vahid, R Calderbank, DR Hower, DJ Sorin
2017 IEEE International Conference on Computer Design (ICCD), 361-368, 2017
Mandates: US National Science Foundation
Extending flash lifetime in embedded processors by expanding analog choice
G Mappouras, A Vahid, R Calderbank, DJ Sorin
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
Mandates: US National Science Foundation
HeteroGen: Automatic synthesis of heterogeneous cache coherence protocols
N Oswald, V Nagarajan, DJ Sorin, V Gavrielatos, T Olausson, R Carr
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
Mandates: US National Science Foundation, UK Engineering and Physical Sciences …
Architecting hierarchical coherence protocols for push-button parametric verification
O Matthews, DJ Sorin
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
Mandates: US National Science Foundation, US Department of Defense
Roadmap subsampling for changing environments
S Murray, GD Konidaris, DJ Sorin
2020 IEEE/RSJ International Conference on Intelligent Robots and Systems …, 2020
Mandates: US Department of Defense
Spatiotemporal Strategies for Long-Term FPGA Resource Management
A Mehrabi, DJ Sorin, BC Lee
2022 IEEE International Symposium on Performance Analysis of Systems and …, 2022
Mandates: US National Science Foundation
Methuselah Flash: Rewriting codes for extra long storage lifetime
G Mappouras, A Vahid, R Calderbank, DJ Sorin
2016 46th Annual IEEE/IFIP International Conference on Dependable Systems …, 2016
Mandates: US National Science Foundation
Determining the Minimum Number of Virtual Networks for Different Coherence Protocols
W Li, A Goens, N Oswald, V Nagarajan, DJ Sorin
2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture …, 2024
Mandates: UK Engineering and Physical Sciences Research Council
Publication and funding information is determined automatically by a computer program