Articles with public access mandates - Norbert WehnLearn more
Not available anywhere: 63
A new dimension of parallelism in ultra high throughput LDPC decoding
P Schläfer, N Wehn, M Alles, T Lehnigk-Emden
SiPS 2013 Proceedings, 153-158, 2013
Mandates: German Research Foundation
A reconfigurable ASIP for convolutional and turbo decoding in an SDR environment
T Vogt, N Wehn
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 (10 …, 2008
Mandates: German Research Foundation
A heterogeneous SDR MPSoC in 28 nm CMOS for low-latency wireless applications
S Haas, T Seifert, B Nöthen, S Scholze, S Höppner, A Dixius, EP Adeva, ...
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
Mandates: German Research Foundation
When channel coding hits the implementation wall
C Kestel, M Herrmann
2018 IEEE 10th International Symposium on Turbo Codes & Iterative …, 2018
Mandates: German Research Foundation, European Commission
A cross-layer technology-based study of how memory errors impact system resilience
VB Kleeberger, C Gimmler-Dumont, C Weis, A Herkersdorf, ...
IEEE Micro 33 (4), 46-55, 2013
Mandates: German Research Foundation
A reconfigurable applcation specific instruction set processor for viterbi and log-map decoding
T Vogt, N Wehn
2006 IEEE Workshop on Signal Processing Systems Design and Implementation …, 2006
Mandates: German Research Foundation
A case study on error resilient architectures for wireless communication
C Brehm, M May, C Gimmler, N Wehn
Architecture of Computing Systems–ARCS 2012: 25th International Conference …, 2012
Mandates: German Research Foundation
A 506Gbit/s polar successive cancellation list decoder with CRC
C Kestel, L Johannsen, O Griebel, J Jimenez, T Vogt, T Lehnigk-Emden, ...
2020 IEEE 31st Annual International Symposium on Personal, Indoor and Mobile …, 2020
Mandates: European Commission
Hardware implementations of Gaussian elimination over GF (2) for channel decoding algorithms
S Scholl, C Stumm, N Wehn
2013 Africon, 1-5, 2013
Mandates: German Research Foundation
Advanced iterative channel coding schemes: When Shannon meets Moore
S Scholl, S Weithoffer, N Wehn
2016 9th International Symposium on Turbo Codes and Iterative Information …, 2016
Mandates: German Research Foundation
Hyper: A runtime reconfigurable architecture for monte carlo option pricing in the heston model
C Brugger, C de Schryver, N Wehn
2014 24th International Conference on Field Programmable Logic and …, 2014
Mandates: German Research Foundation
A ferroelectric fet based in-memory architecture for multi-precision neural networks
T Soliman, R Olivo, T Kirchner, M Lederer, T Kämpfe, A Guntoro, N Wehn
2020 IEEE 33rd International System-on-Chip Conference (SOCC), 96-101, 2020
Mandates: European Commission
On the energy costs of post-quantum KEMs in TLS-based low-power secure IoT
M Schöffel, F Lauer, CC Rheinländer, N Wehn
Proceedings of the International Conference on Internet-of-Things Design and …, 2021
Mandates: Federal Ministry of Education and Research, Germany
A novel DRAM-based process-in-memory architecture and its implementation for CNNs
C Sudarshan, T Soliman, C De la Parra, C Weis, L Ecco, M Jung, N Wehn, ...
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
Mandates: European Commission
Reliability study on system memories of an iterative MIMO-BICM system
C Gimmler-Dumont, C Brehm, N Wehn
2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip …, 2012
Mandates: German Research Foundation
A 336 gbit/s full-parallel window decoder for spatially coupled ldpc codes
M Herrmann, N Wehn, M Thalmaier, M Fehrenz, T Lehnigk-Emden, ...
2021 Joint European Conference on Networks and Communications & 6G Summit …, 2021
Mandates: European Commission
idocchip: A configurable hardware architecture for historical document image processing: Percentile based binarization
V Rybalkin, SS Bukhari, MM Ghaffar, A Ghafoor, N Wehn, A Dengel
Proceedings of the ACM Symposium on Document Engineering 2018, 1-8, 2018
Mandates: Federal Ministry of Education and Research, Germany
Supervised testing of concurrent software in embedded systems
J Jahić, T Kuhn, M Jung, N Wehn
2017 International Conference on Embedded Computer Systems: Architectures …, 2017
Mandates: Fraunhofer-Gesellschaft
A new LDPC decoder hardware implementation with improved error rates
P Schläfer, S Scholl, E Leonardi, N Wehn
2015 IEEE Jordan Conference on Applied Electrical Engineering and Computing …, 2015
Mandates: German Research Foundation
Advanced hardware architecture for soft decoding Reed-Solomon codes
S Scholl, N Wehn
2014 8th International Symposium on Turbo Codes and Iterative Information …, 2014
Mandates: German Research Foundation
Publication and funding information is determined automatically by a computer program