Articles with public access mandates - Geng YuanLearn more
Not available anywhere: 3
Fault-tolerant deep neural networks for processing-in-memory based autonomous edge systems
S Wang, G Yuan, X Ma, Y Li, X Lin, B Kailkhura
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 424-429, 2022
Mandates: US National Science Foundation, US Department of Energy
Work in progress: Mobile or FPGA? A comprehensive evaluation on energy efficiency and a unified optimization framework
G Yuan, P Dong, M Sun, W Niu, Z Li, Y Cai, J Liu, W Jiang, X Lin, B Ren, ...
2021 IEEE 27th Real-Time and Embedded Technology and Applications Symposium …, 2021
Mandates: US National Science Foundation, US Department of Defense
Memristor-Based Spectral Decomposition of Matrices and Its Applications
ZS Jalali, C Wang, G Kearney, G Yuan, C Ding, Y Zhou, Y Wang, ...
IEEE Transactions on Computers 72 (5), 1460-1472, 2022
Mandates: US National Science Foundation
Available somewhere: 45
CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices
C Ding, S Liao, Y Wang, Z Li, N Liu, Y Zhuo, C Wang, X Qian, Y Bai, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
Mandates: US National Science Foundation, US Department of Defense
Efficientformer: Vision transformers at mobilenet speed
Y Li, G Yuan, Y Wen, J Hu, G Evangelidis, S Tulyakov, Y Wang, J Ren
Advances in Neural Information Processing Systems 35, 12934-12949, 2022
Mandates: US National Science Foundation
Spvit: Enabling faster vision transformers via latency-aware soft token pruning
Z Kong, P Dong, X Ma, X Meng, W Niu, M Sun, X Shen, G Yuan, B Ren, ...
European conference on computer vision, 620-640, 2022
Mandates: US National Science Foundation, US Department of Defense
Yolobile: Real-time object detection on mobile devices via compression-compilation co-design
Y Cai, H Li, G Yuan, W Niu, Y Li, X Tang, B Ren, Y Wang
Proceedings of the AAAI conference on artificial intelligence 35 (2), 955-963, 2021
Mandates: US National Science Foundation, US Department of Defense
Non-structured DNN weight pruning—Is it beneficial in any platform?
X Ma, S Lin, S Ye, Z He, L Zhang, G Yuan, SH Tan, Z Li, D Fan, X Qian, ...
IEEE transactions on neural networks and learning systems 33 (9), 4930-4944, 2021
Mandates: US National Science Foundation, US Department of Defense
Mest: Accurate and fast memory-economic sparse training framework on the edge
G Yuan, X Ma, W Niu, Z Li, Z Kong, N Liu, Y Gong, Z Zhan, C He, Q Jin, ...
Advances in Neural Information Processing Systems 34, 20838-20850, 2021
Mandates: US National Science Foundation, US Department of Defense
Forms: Fine-grained polarized reram-based in-situ computation for mixed-signal dnn accelerator
G Yuan, P Behnam, Z Li, A Shafiee, S Lin, X Ma, H Liu, X Qian, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
Mandates: US National Science Foundation
Teachers do more than teach: Compressing image-to-image models
Q Jin, J Ren, OJ Woodford, J Wang, G Yuan, Y Wang, S Tulyakov
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2021
Mandates: US National Science Foundation
Sanity checks for lottery tickets: Does your winning ticket really win the jackpot?
X Ma, G Yuan, X Shen, T Chen, X Chen, X Chen, N Liu, M Qin, S Liu, ...
Advances in Neural Information Processing Systems 34, 12749-12760, 2021
Mandates: US National Science Foundation, US Department of Defense
Tiny but accurate: A pruned, quantized and optimized memristor crossbar framework for ultra efficient dnn implementation
X Ma, G Yuan, S Lin, C Ding, F Yu, T Liu, W Wen, X Chen, Y Wang
2020 25th Asia and South Pacific design automation conference (ASP-DAC), 301-306, 2020
Mandates: US National Science Foundation
An ultra-efficient memristor-based DNN framework with structured weight pruning and quantization using ADMM
G Yuan, X Ma, C Ding, S Lin, T Zhang, ZS Jalali, Y Zhao, L Jiang, ...
2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019
Mandates: US National Science Foundation
Sparcl: Sparse continual learning on the edge
Z Wang, Z Zhan, Y Gong, G Yuan, W Niu, T Jian, B Ren, S Ioannidis, ...
Advances in Neural Information Processing Systems 35, 20366-20380, 2022
Mandates: US National Science Foundation
Auto-vit-acc: An fpga-aware automatic acceleration framework for vision transformer with mixed-scheme quantization
Z Li, M Sun, A Lu, H Ma, G Yuan, Y Xie, H Tang, Y Li, M Leeser, Z Wang, ...
2022 32nd International Conference on Field-Programmable Logic and …, 2022
Mandates: US National Science Foundation, Natural Sciences and Engineering Research …
Towards ultra-high performance and energy efficiency of deep learning systems: an algorithm-hardware co-optimization framework
Y Wang, C Ding, Z Li, G Yuan, S Liao, X Ma, B Yuan, X Qian, J Tang, ...
Proceedings of the AAAI Conference on Artificial Intelligence 32 (1), 2018
Mandates: US National Science Foundation
Achieving on-mobile real-time super-resolution with neural architecture and pruning search
Z Zhan, Y Gong, P Zhao, G Yuan, W Niu, Y Wu, T Zhang, M Jayaweera, ...
Proceedings of the IEEE/CVF international conference on computer vision …, 2021
Mandates: US National Science Foundation, US Department of Defense
Improving dnn fault tolerance using weight pruning and differential crossbar mapping for reram-based edge ai
G Yuan, Z Liao, X Ma, Y Cai, Z Kong, X Shen, J Fu, Z Li, C Zhang, H Peng, ...
2021 22nd International Symposium on Quality Electronic Design (ISQED), 135-141, 2021
Mandates: US National Science Foundation
Lottery ticket preserves weight correlation: Is it desirable or not?
N Liu, G Yuan, Z Che, X Shen, X Ma, Q Jin, J Ren, J Tang, S Liu, Y Wang
International Conference on Machine Learning, 7011-7020, 2021
Mandates: US National Science Foundation
Publication and funding information is determined automatically by a computer program