Artículos con órdenes de acceso público - David BrooksMás información
No disponibles en ningún lugar: 17
DNN engine: A 28-nm timing-error tolerant sparse deep neural network processor for IoT applications
PN Whatmough, SK Lee, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 53 (9), 2722-2731, 2018
Órdenes: US Department of Defense
A fully integrated reconfigurable switched-capacitor DC-DC converter with four stacked output channels for voltage stacking applications
T Tong, SK Lee, X Zhang, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 51 (9), 2142-2152, 2016
Órdenes: US National Science Foundation
Applications of deep neural networks for ultra low power IoT
S Kodali, P Hansen, N Mulholland, P Whatmough, D Brooks, GY Wei
2017 IEEE International Conference on Computer Design (ICCD), 589-592, 2017
Órdenes: US Department of Defense
A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators
PN Whatmough, SK Lee, M Donato, HC Hsueh, S Xi, U Gupta, ...
2019 Symposium on VLSI Circuits, C34-C35, 2019
Órdenes: US National Science Foundation, US Department of Defense
A 16-nm always-on DNN processor with adaptive clocking and multi-cycle banked SRAMs
SK Lee, PN Whatmough, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 54 (7), 1982-1992, 2019
Órdenes: US National Science Foundation, US Department of Defense
Soda: a new synthesis infrastructure for agile hardware design of machine learning accelerators
M Minutoli, VG Castellana, C Tan, J Manzano, V Amatya, A Tumeo, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-7, 2020
Órdenes: US Department of Energy, US Department of Defense
A 3mm2 Programmable Bayesian Inference Accelerator for Unsupervised Machine Perception using Parallel Gibbs Sampling in 16nm
GG Ko, Y Chai, M Donato, PN Whatmough, T Tambe, RA Rutenbar, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
Órdenes: US Department of Defense
Accelerating Bayesian inference on structured graphs using parallel Gibbs sampling
GG Ko, Y Chai, RA Rutenbar, D Brooks, GY Wei
2019 29th international conference on field programmable logic and …, 2019
Órdenes: US Department of Defense
Flexgibbs: Reconfigurable parallel gibbs sampling accelerator for structured graphs
GG Ko, Y Chai, RA Rutenbar, D Brooks, GY Wei
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom …, 2019
Órdenes: US Department of Defense
Characterizing the Scalability of Graph Convolutional Networks on Intel® PIUMA
MJ Adiletta, JJ Tithi, EI Farsarakis, G Gerogiannis, R Adolf, R Benke, ...
2023 IEEE International Symposium on Performance Analysis of Systems and …, 2023
Órdenes: US Department of Defense
A wide dynamic range sparse FC-DNN processor with multi-cycle banked SRAM read and adaptive clocking in 16nm FinFET
SK Lee, PN Whatmough, N Mulholland, P Hansen, D Brooks, GY Wei
ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC …, 2018
Órdenes: US Department of Defense
A scalable bayesian inference accelerator for unsupervised learning
G Ko, Y Chai, M Donato, PN Whatmough, T Tambe, RA Rutenbar, GY Wei, ...
2020 IEEE Hot Chips 32 Symposium (HCS), 1-27, 2020
Órdenes: US Department of Defense
A joint management middleware to improve training performance of deep recommendation systems with SSDs
CF Wu, CJ Wu, GY Wei, D Brooks
Proceedings of the 59th ACM/IEEE Design Automation Conference, 157-162, 2022
Órdenes: US Department of Defense
Software defined accelerators from learning tools environment
A Tumeo, M Minutoli, VG Castellana, J Manzano, V Amatya, D Brooks, ...
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
Órdenes: US Department of Energy, US Department of Defense
Sub-uJ deep neural networks for embedded applications
PN Whatmough, SK Lee, GY Wei, D Brooks
2017 51st Asilomar Conference on Signals, Systems, and Computers, 1912-1915, 2017
Órdenes: US Department of Defense
ADA, the Center for Applications Driving Architectures: accomplishments and vision forward
V Bertacco, T Austin, D Brooks, S Malik, Z Tatlock, GY Wei, TF Wenisch
Proceedings of the 59th ACM/IEEE Design Automation Conference, 1406-1409, 2022
Órdenes: US Department of Defense
Methods and infrastructure in the era of accelerator-centric architectures
B Reagen, YS Shao, SL Xi, GY Wei, D Brooks
2017 IEEE 60th International Midwest Symposium on Circuits and Systems …, 2017
Órdenes: US Department of Defense
Disponibles en algún lugar: 66
Ares: A framework for quantifying the resilience of deep neural networks
B Reagen, U Gupta, L Pentecost, P Whatmough, SK Lee, N Mulholland, ...
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
Órdenes: US National Science Foundation, US Department of Defense
Mlperf training benchmark
P Mattson, C Cheng, C Coleman, G Diamos, P Micikevicius, D Patterson, ...
Proceedings of Machine Learning and Systems (MLSys) 2020, 2019
Órdenes: US National Science Foundation, US Department of Defense, Natural Sciences …
Co-designing accelerators and SoC interfaces using gem5-Aladdin
YS Shao, SL Xi, V Srinivasan, GY Wei, D Brooks
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
Órdenes: US National Science Foundation
La información de publicación y financiación se determina de forma automática mediante un programa informático