Articoli con mandati relativi all'accesso pubblico - Diana MarculescuUlteriori informazioni
Non disponibili pubblicamente: 7
The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives
M Shafique, S Garg, J Henkel, D Marculescu
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
Mandati: German Research Foundation
Wireless NoC and dynamic VFI codesign: Energy efficiency without performance penalty
RG Kim, W Choi, Z Chen, PP Pande, D Marculescu, R Marculescu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
Mandati: US National Science Foundation
3D NoC-enabled heterogeneous manycore architectures for accelerating CNN training: Performance and thermal trade-offs
BK Joardar, W Choi, RG Kim, JR Doppa, PP Pande, D Marculescu, ...
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on …, 2017
Mandati: US National Science Foundation
Dynamic behavior of cell signaling networks: model design and analysis automation
N Miskov-Zivanov, D Marculescu, JR Faeder
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
Mandati: US National Institutes of Health
Hybrid on-chip communication architectures for heterogeneous manycore systems
BK Joardar, JR Doppa, PP Pande, D Marculescu, R Marculescu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2018
Mandati: US National Science Foundation, US Department of Defense
A two-level approximate model driven framework for characterizing Multi-Cell Upsets impacts on processors
J Jiao, D Marculescu, DC Juan, Y Fu
Microelectronics Journal 48, 7-17, 2016
Mandati: National Natural Science Foundation of China
The Quest for Energy-Aware Computing: Confessions of an Accidental Engineer
D Marculescu
Women in Microelectronics, 215-231, 2020
Mandati: US National Science Foundation
Disponibili pubblicamente: 43
Single-path nas: Designing hardware-efficient convnets in less than 4 hours
D Stamoulis, R Ding, D Wang, D Lymberopoulos, B Priyantha, J Liu, ...
Joint European Conference on Machine Learning and Knowledge Discovery in …, 2019
Mandati: US National Science Foundation
Towards efficient model compression via learned global ranking
TW Chin, R Ding, C Zhang, D Marculescu
Proceedings of the IEEE/CVF conference on computer vision and pattern …, 2020
Mandati: US National Science Foundation
Neuralpower: Predict and deploy energy-efficient convolutional neural networks
E Cai, DC Juan, D Stamoulis, D Marculescu
Asian Conference on Machine Learning, 622-637, 2017
Mandati: US National Science Foundation
Regularizing activation distribution for training binarized deep networks
R Ding, TW Chin, Z Liu, D Marculescu
Proceedings of the IEEE/CVF conference on computer vision and pattern …, 2019
Mandati: US National Science Foundation
On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems
W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, D Marculescu, ...
IEEE Transactions on Computers 67 (5), 672-686, 2017
Mandati: US National Science Foundation
Adascale: Towards real-time video object detection using adaptive scaling
TW Chin, R Ding, D Marculescu
Proceedings of machine learning and systems 1, 431-441, 2019
Mandati: US National Science Foundation
Hyperpower: Power-and memory-constrained hyper-parameter optimization for neural networks
D Stamoulis, E Cai, DC Juan, D Marculescu
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 19-24, 2018
Mandati: US National Science Foundation
Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems
BK Joardar, RG Kim, JR Doppa, PP Pande, D Marculescu, R Marculescu
IEEE Transactions on Computers 68 (6), 852-866, 2018
Mandati: US National Science Foundation, US Department of Defense
Designing adaptive neural networks for energy-constrained image classification
D Stamoulis, TWR Chin, AK Prakash, H Fang, S Sajja, M Bognar, ...
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
Mandati: US National Science Foundation
Imitation learning for dynamic VFI control in large-scale manycore systems
RG Kim, W Choi, Z Chen, JR Doppa, PP Pande, D Marculescu, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (9 …, 2017
Mandati: US National Science Foundation
Hardware-aware machine learning: Modeling and optimization
D Marculescu, D Stamoulis, E Cai
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
Mandati: US National Science Foundation
A support vector regression (SVR)-based latency model for network-on-chip (NoC) architectures
ZL Qian, DC Juan, P Bogdan, CY Tsui, D Marculescu, R Marculescu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
Mandati: Research Grants Council, Hong Kong
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms
W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, R Marculescu, ...
Proceedings of the international conference on compilers, architectures and …, 2016
Mandati: US National Science Foundation
Le informazioni sulla pubblicazione e sul finanziamento vengono stabilite automaticamente da un software