受强制性开放获取政策约束的文章 - Ren-Jye Yang了解详情
可在其他位置公开访问的文章:9 篇
A new distribution metric for comparing Pareto optimal solutions
K Zheng, RJ Yang, H Xu, J Hu
Structural and multidisciplinary Optimization 55, 53-62, 2017
强制性开放获取政策: 国家自然科学基金委员会
Model uncertainty approximation using a copula-based approach for reliability based design optimization
H Pan, Z Xi, RJ Yang
Structural and Multidisciplinary Optimization 54, 1543-1556, 2016
强制性开放获取政策: US National Science Foundation
A new sampling-based RBDO method via score function with reweighting scheme and application to vehicle designs
P Zhu, L Shi, RJ Yang, SP Lin
Applied Mathematical Modelling 39 (15), 4243-4256, 2015
强制性开放获取政策: 国家自然科学基金委员会
Validating dynamic engineering models under uncertainty
Z Wang, Y Fu, RJ Yang, S Barbat, W Chen
Journal of Mechanical Design 138 (11), 111402, 2016
强制性开放获取政策: US Department of Energy
Time dependent model bias correction for model based reliability analysis
Z Xi, H Pan, RJ Yang
Structural Safety 66, 74-83, 2017
强制性开放获取政策: US National Science Foundation
Research on validation metrics for multiple dynamic response comparison under uncertainty
Z Zhan, J Yang, Y Fu, RJ Yang, S Barbat, L Zheng
SAE International Journal of Materials and Manufacturing 8 (2), 300-308, 2015
强制性开放获取政策: 国家自然科学基金委员会
Development of a comprehensive validation method for dynamic systems and its application on vehicle design
J Yang, Z Zhan, C Chen, Y Shu, L Zheng, RJ Yang, Y Fu, S Barbat
SAE International Journal of Materials and Manufacturing 8 (3), 646-652, 2015
强制性开放获取政策: 国家自然科学基金委员会
Root cause analysis strategy for robust design domain recognition
J Yang, Z Zhan, CC Chou, RJ Yang, L Zheng, G Guo
Engineering Optimization 50 (8), 1325-1346, 2018
强制性开放获取政策: 国家自然科学基金委员会
Model bias characterization considering discrete and continuous design variables
X Zhao, Z Xi, H Xu, RJ Yang
International Design Engineering Technical Conferences and Computers and …, 2016
强制性开放获取政策: US National Science Foundation
出版信息和资助信息由计算机程序自动确定