受强制性开放获取政策约束的文章 - Haitao Liu (刘海涛)了解详情
无法在其他位置公开访问的文章:6 篇
A global optimization algorithm for simulation-based problems via the extended DIRECT scheme
H Liu, S Xu, X Wang, J Wu, Y Song
Engineering Optimization 47 (11), 1441-1458, 2015
强制性开放获取政策: 国家自然科学基金委员会
Choose appropriate subproblems for collaborative modeling in expensive multiobjective optimization
Z Wang, Q Zhang, YS Ong, S Yao, H Liu, J Luo
IEEE Transactions on Cybernetics 53 (1), 483-496, 2021
强制性开放获取政策: 国家自然科学基金委员会
Generative multiform Bayesian optimization
Z Guo, H Liu, YS Ong, X Qu, Y Zhang, J Zheng
IEEE Transactions on Cybernetics 53 (7), 4347-4360, 2022
强制性开放获取政策: 国家自然科学基金委员会
Learning time-aware multi-phase flow fields in coal-supercritical water fluidized bed reactor with deep learning
X Xie, X Wang, P Zhao, Y Hao, R Xie, H Liu
Energy 263, 125907, 2023
强制性开放获取政策: 国家自然科学基金委员会
Calibrated and recalibrated expected improvements for Bayesian optimization
Z Guo, YS Ong, H Liu
Structural and Multidisciplinary Optimization 64 (6), 3549-3567, 2021
强制性开放获取政策: 国家自然科学基金委员会
Co-learning Bayesian optimization
Z Guo, YS Ong, T He, H Liu
IEEE Transactions on Cybernetics 52 (9), 9820-9833, 2022
强制性开放获取政策: 国家自然科学基金委员会, A*Star, Singapore
可在其他位置公开访问的文章:35 篇
When Gaussian process meets big data: A review of scalable GPs
H Liu, YS Ong, X Shen, J Cai
IEEE transactions on neural networks and learning systems 31 (11), 4405-4423, 2020
强制性开放获取政策: National Research Foundation, Singapore
A survey of adaptive sampling for global metamodeling in support of simulation-based complex engineering design
H Liu, YS Ong, J Cai
Structural and Multidisciplinary Optimization 57, 393-416, 2018
强制性开放获取政策: National Research Foundation, Singapore
Remarks on multi-output Gaussian process regression
H Liu, J Cai, YS Ong
Knowledge-Based Systems, 1-20, 2018
强制性开放获取政策: National Research Foundation, Singapore
A robust error-pursuing sequential sampling approach for global metamodeling based on voronoi diagram and cross validation
S Xu, H Liu, X Wang, X Jiang
Journal of Mechanical Design 136 (7), 071009, 2014
强制性开放获取政策: 国家自然科学基金委员会
An adaptive sampling approach for Kriging metamodeling by maximizing expected prediction error
H Liu, J Cai, YS Ong
Computers & Chemical Engineering 106, 171-182, 2017
强制性开放获取政策: National Research Foundation, Singapore
Generalized robust Bayesian committee machine for large-scale Gaussian process regression
H Liu, J Cai, Y Wang, YS Ong
International Conference on Machine Learning, 3131-3140, 2018
强制性开放获取政策: National Research Foundation, Singapore
Cope with diverse data structures in multi-fidelity modeling: A Gaussian process method
H Liu, YS Ong, J Cai, Y Wang
Engineering Applications of Artificial Intelligence, 211-225, 2017
强制性开放获取政策: National Research Foundation, Singapore
An adaptive Bayesian sequential sampling approach for global metamodeling
H Liu, S Xu, Y Ma, X Chen, X Wang
Journal of Mechanical Design 138 (1), 011404, 2016
强制性开放获取政策: 国家自然科学基金委员会
Optimal weighted pointwise ensemble of radial basis functions with different basis functions
H Liu, S Xu, X Wang, J Meng, S Yang
AIAA Journal 54 (10), 3117-3133, 2016
强制性开放获取政策: 国家自然科学基金委员会
Constrained global optimization via a DIRECT-type constraint-handling technique and an adaptive metamodeling strategy
H Liu, S Xu, X Chen, X Wang, Q Ma
Structural and Multidisciplinary Optimization 55, 155-177, 2017
强制性开放获取政策: 国家自然科学基金委员会
Sequential sampling designs based on space reduction
H Liu, S Xu, X Wang
Engineering Optimization 47 (7), 867-884, 2015
强制性开放获取政策: 国家自然科学基金委员会
Large-scale heteroscedastic regression via Gaussian process
H Liu, YS Ong, J Cai
IEEE transactions on neural networks and learning systems 32 (2), 708-721, 2020
强制性开放获取政策: National Research Foundation, Singapore
An adaptive RBF-HDMR modeling approach under limited computational budget
H Liu, JR Hervas, YS Ong, J Cai, Y Wang
Structural and Multidisciplinary Optimization 57, 1233-1250, 2018
强制性开放获取政策: National Research Foundation, Singapore
Understanding and comparing scalable Gaussian process regression for big data
H Liu, J Cai, YS Ong, Y Wang
Knowledge-Based Systems 164, 324-335, 2019
强制性开放获取政策: National Research Foundation, Singapore
出版信息和资助信息由计算机程序自动确定